The autocompletion works (mostly) but for example Vec::new() doesn’t highlight anything at all. I do get errors when not putting a semicolon, but things like passing too many arguments into the println macro doesn’t throw an error. Or how shown in this example, it did not autocomplete the clone method, while also just accepting .clo; at the end of a String (it also didn’t autocomplete “String”). In the video I show how it also gives me a recommendation for setting vec![] as a type, which doesn’t make any sense. It only seems to a very limited selection of methods and some words I already used in the script. Is this how it’s supposed to be, or do I have some very old version perhaps?

EDIT: Look in description for the fix